专利摘要:

公开号:NL1035726A1
申请号:NL1035726
申请日:2008-07-18
公开日:2009-01-27
发明作者:Wouter Anthon Soer;Maarten Marinus Johannes Wilhelmus Van Herpen;Antonius Theodorus Wilhelmus Kempen
申请人:Asml Netherlands Bv;
IPC主号:
专利说明:

Λ method for removal of a deposition from an optical element, a lithographic apparatus and a method for manufacturing a device
Field of the invention
The invention relates to a method for a removal of a deposition on an optical element. The invention further relates to an apparatus. The invention still further relates to a lithographic apparatus. The invention further relates to a method of manufacturing a device.
Background of the invention
Lithographic apparatus as known in the art comprises a radiation system constructed to provide a projection beam of radiation. Usually, a source or ultra-violet radiation is used. Such a source may be arranged to generate the radiation beam with a wavelength or about 193 nm, or or about 157 nm. Alternatively, the radiation source may be arranged to generate Extreme Ultra Violet (EUV) radiation having a wavelength or below about 50 nm (usually 13.5 nm). An illumination system including a variety of suitably arranged optical elements may be constructed for the radiation beam condition, for example, for the radiation beam focus at an intermediate focus position. Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (IC). In such a case, the radiation beam is patterned using a suitable patterning device and is subsequently projected on a suitable pattemable substrate. The pattemable substrate is preferably arranged on a substrate table, which may be constructed to hold the substrate and to displace it, if desired.
The "patterning device" may refer to a device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that has been created to a target portion of the substrate. Generally, the pattern will correspond to a particular functional layer in a device being created in the target portion, such as integrated circuit or other device. An example of a patterning device is a mask. The concept of mask is well known in lithography, and it includes mask types such as binary, alternating phase shift, attenuated phase shift, as well as various hybrid mask types. Placement of such a mask in the radiation beam causes elective transmission (in the case of a transmissible mask) or reflection (in the case of a reflective mask) or the radiation impinging on the mask, according to the pattern of the mask. Mask is usually supported by a support structure, such as a mask table, which ensures that the mask can be held at a desired position in the incoming radiation beam, and that can be moved relative to the beam if so desired.
Another example of a patterning device is a programmable mirror array. One example of such an array is a matrix-addressable surface, for example having a viscoelastic control layer and a reflective surface. The basic principle behind functioning of such a mirror array is that, for example, addressed areas of the reflective surface reflect incident light as diffracted light, whereas unaddressed areas reflect incident light as undiffracted light. Using an appropriate filter, the undiffracted light may be filtered out of the reflected beam, leaving only the diffracted light behind. In this manner, the beam becomes patterned according to the addressing pattern or the matrix-addressable surface. An alternative embodiment of a programmable mirror array employs a matrix arrangement or tiny mirrors, each of which can be individually tilted about an axis by applying a suitable localized electric field, or by employing piezoelectric actuators. Once again, the mirrors are matrix-addressable, such that addressed mirrors will reflect an incoming radiation beam in a different direction with respect to unaddressed mirrors. The required matrix addressing can be performed using suitable electronics. In both of the situations described asabove, the patterning device can include one or more programmable mirror arrays. For the programmable mirror array a corresponding support structure may be embodied as a frame or table, for example, which may be fixed or movable as required.
Another example of patterning device is a programmed LCD array. An example is given in US 5, 229, 872. Also for such a patterning device a support structure may be embodied as a frame or table, for example, which may be fixed or movable as required.
The lithographic apparatus further comprises a projection system arranged with a suitable various or further optical elements configured to project the patterned radiation beam onto the target portion of the substrate. The projection system is often referred to as a "lens". However, this term may be broadly interpreted and compassing various types of projection systems, including refractive optics, reflective optics and catadioptric systems, for example.
Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the "scanning" direction) while synchronously scanning the substrate parallel or anti-parallel to this direction.
It is acknowledged that in a lithographic apparatus radiation-induced deposition or carbon contamination may occur, causing formation of parasitic films on optical elements, for example hydrocarbon or carbon films, which decreases quality of a lithographic process.
Even very thin carbon films can absorb a remarkable amount of the projection beam leading to a reduction in energy throughput in the optical train. This particularly holds to the EUV radiation beam. Further, these carbon films may be non-homogeneous and as such may result in phase shifts and patterning errors. Therefore, it is required to mitigate the effects of carbon contamination.
An embodiment of an arrangement for removing carbon deposition is published in US 2004/0105084. The arrangement is constructed to provide a composition including one or more perhalogenated C1-C6 alkanes and one or more compounds consisting of one or more nitrogen atoms and hydrogen atoms in an atmosphere of the lithographic apparatus. The composition and the compounds are conceived to be activated, notably leading to excitation and dissociation of the molecules of the compound into reactive species. The source of the compound is arranged in fluid communication with an atmosphere of the lithographic apparatus, the compound being supplied in gaseous form or as a beam of molecules.
It is a disadvantage of the above mentioned arrangement that activation of the compound occurs in a not specific area of the lithographic apparatus, which may lead to a reduced efficiency of the cleaning action of suitable activated species. For example, a free path of hydrogen radicals, which may be formed by dissociation of hydrogen molecules is very short. In particular three-body recombination and surface recombination rate do not allow hydrogen radicals formed in the atmosphere of the known lithographic apparatus to reach target areas, like a mirror, a reticle, a grating, nested shells or particular optical elements, eg multilayers, or the like leading to substantial accumulation or contamination layers at some areas.
Summary of the invention
It is desirable to provide a lithographic apparatus with removal or deposition, for example removal of hydrocarbon and / or Sn deposition, is improved.
According to an aspect of the invention a method of removal of an optical element or apparatus including said optical element, the method including the steps of: - providing a hydrogen-containing gas in at least a part of the apparatus; - providing nitrogen radicals in said part of the apparatus for generating hydrogen radicals from the hydrogen-containing gas; - bringing the optical element with deposition into contact with the least part of the hydrogen radicals for removal or said deposition.
According to another aspect of the invention an apparatus comprises: an optical element; a first inlet configured to provide hydrogen including gas in at least a part of the apparatus; a second inlet configured to provide nitrogen radicals in said part of the apparatus for generating hydrogen radicals from the hydrogen-containing gas.
According to a still further aspect of the invention a lithographic apparatus comprises: - a radiation system constructed to provide a projection beam of radiation; - an illumination system including a first optical element constructed to condition a radiation beam; - a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; - a substrate table constructed to hold a substrate; and - a projection system including a second optical element configured to project the patterned radiation beam onto a target portion of the substrate, including - the lithographic apparatus further comprises a hydrogen-containing gas; - a source of nitrogen radicals arranged for generating hydrogen radicals from the hydrogen containing gas for removing a deposition from at least a surface of the first optical element and / or the second optical element.
According to a still further aspect of the invention a device manufacturing method comprises: projecting a patterned beam of radiation onto a substrate using a lithographic apparatus; providing nitrogen radicals in an atmosphere of the lithographic apparatus for interacting with hydrogen present in said atmosphere for generating hydrogen radicals acting as cleaning reagents for removing a deposition from a surface of an optical element.
Brief description of the drawings
Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, in which:
Figure 1 presents a schematic view of an embodiment or a lithographic apparatus according to the invention.
Figure 2 presents a schematic view of an embodiment or a portion of a lithographic apparatus according to the invention.
Detailed description
Figure 1 schematically depicts a lithographic apparatus according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or EUV radiation). An embodiment of the present invention is directed to low wavelength lithography systems such as those operating at 193 nm and 157 nm as well as extreme ultraviolet lithography tools. Typically, EUV systems operate using a wavelength or below about 50 nm, preferably below about 20 nm, and most preferably below about 15 nm. An example of a wavelength in the EUV region which is gaining considerable interest in the lithography industry is 13.4 nm, although there are also other promising wavelengths in this region, such as 11 nm, for example. a support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. including one or more dies) of the substrate W.
The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination of, for directing, shaping, or controlling radiation.
The support structure supports, i.e. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is a hero in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms "reticle" or "mask" may be considered synonymous with the more general term "patterning device."
The term "patterning device" used should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase shift, and attenuated phase shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
The term "projection system" used should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term "projection lens" may also be considered as synonymous with the more general term "projection system".
As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). Alternatively, the apparatus may be a transmissive type (e.g., employing a transmissive mask).
The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and / or two or more mask tables). In such "multiple stage" machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
The lithographic apparatus may also be a type of at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. Liquid immersion may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term "immersion" as used does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.
Referring to figure 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to be part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system including, for example, suitable directing mirrors and / or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system if required, may be referred to as a radiation system.
The illuminator IL may include an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and / or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) or the intensity distribution in a pupil plane or the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as an integrator and a condenser. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
The radiation beam B is an incident on the patterning device (e.g., mask MA), which is a hero on the support structure (e.g., mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which is the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (eg an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, eg so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the mask MA with respect to the path of the radiation beam B, eg after mechanical retrieval from a mask library, or during a scan. In general, movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the mask table MT may be connected to a short-stroke actuator only, or may be fixed. Mask MA and substrate May be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one that is provided on the mask MA, the mask alignment marks may be located between the dies.
The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (ie a single static exposure). The substrate table WT is then shifted in the X and / or Y direction so that a different target portion can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure. 2. In scan mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern beamed to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) or the target portion in a single dynamic exposure, whereas the length of the scanning motion has the height (in the scanning direction) of the target portion. 3. In another mode, the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern is imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array or a type as referred to above.
Combinations and / or variations on the modes described above or use or entirely different modes or use may also be employed.
In accordance with an aspect of the invention the lithographic apparatus is provided with an arrangement 6 including a source of nitrogen radicals arranged to provide said nitrogen radicals in at least a part of the lithographic apparatus for generating hydrogen radicals from a hydrogen containing gas present in the lithographic apparatus. It must be understood that the term hydrogen-containing gas may refer to a gas comprising a molecule with a hydrogen atom. The thus formed hydrogen radicals are conceived to remove deposits from at least a surface of an optical element of the lithographic apparatus. The optical element may relate to a mirror, a grating, a reticle, or a sensor. The operation of the arrangement 6 will be described in more detail with reference to Figure 2.
In accordance with this aspect of the invention an effective method or removal of deposits from an optical element is provided. The deposition conceived to be thus removed may include one or more elements selected from a group of B, C, Si, Ge and Sn. This aspect of the invention is based on an insight of a fundamental difference between a surface recombination rate of hydrogen radicals and a surface recombination rate of nitrogen radicals. Table 1 shows an abstract or comparison between characteristic surface recombination coefficients for nitrogen radicals (γΝ) and hydrogen (γΗ) radicals, as found in the literature.
Table 1
:: [1]: G.N. Hays et al., "Surface catalytic efficiency of a sputtered molybdenum layer on quartz and pyrex for the recombination of nitrogen atoms," J. Chem. Phys. 60, 2027-2034 (1973); [2]: R.A. Young, "Measurements of the diffusion coefficient of atomic nitrogen in molecular nitrogen and the catalytic efficiency of silver and copper oxide surfaces", J. Chem. Phys. 34, 1295-1301 (1961); [3]: B.J. Wood, H. Wise, "Kinetics of hydrogen atom recombination on surfaces," J.
Phys. Chem. 65, 1976-1983 (1961); [4]: W.V. Smith, "The surface recombination of atoms and OH radicals," J. Chem. Phys. 11, 110-125 (1943); [5]: H.Wise and B.J. Wood, "Reactive collisions between gas and surface atoms", Adv. At. Mol. Phys. 3, 291-353 (1967). A pressure dependence or a surface recombination coefficient is given by an equation: where
p is a pressure; A, C are constants dependent on type of surface material and temperature; q is a constant accounting for a probability of surface recombination.
Due to roughness of a surface and a probable disorder of a surface shielding by molecules, a fraction of the gas will reach the surface for very high pressures. Usually q is substantially less than unity. It is found that for a substantially low surface roughness, corresponding, for example for a surface condition or optical elements used for EUV lithography, the value of constant q will be substantially equal to zero.
It has been found for the EUV lithography and surface recombination coefficient for nitrogen radicals substantially reduced for pressures above 10 mbar. This means that the nitrogen radical has a substantially increased lifetime leading to an elongated cleaning range in an atmosphere or an apparatus, compared to the cleaning range of hydrogen radicals. For example, while a cleaning range of about 10 cm for hydrogen radicals can be achieved using a high velocity gas flow and pressures or 100 mbar and higher, the cleaning range of about 10 cm for nitrogen radicals can be achieved substantially for any pressure in the range or 0.01 mbar up to about 1000 mbar. Actually, substantially all pressures applicable to a lithographic apparatus may be used. Therefore, when the nitrogen radicals are provided in an atmosphere of an apparatus conceived to be cleaned, the nitrogen radicals substantially reach surfaces conceived to be cleaned and generate hydrogen radicals substantially in an immediate vicinity of said surfaces. This substantially improves the cleaning efficiency. Preferably, a source or hydrogen is provided. The source of hydrogen may be a source of hydrogen molecules and may be arranged advantageously in a vicinity of the source of nitrogen radicals. More preferably, the source of hydrogen molecules is integrated with the source of the nitrogen radicals yielding a hybrid source. The hybrid source may be advantageously positioned in an area or an optical element conceived to be cleaned, for example in an area or an illumination system or a lithographic apparatus. Hydrogen radicals effectively remove deposited hydrocarbon layers on optical dements, as well as effectively remove particle contamination, for example Sn contamination, caused by a plasma source. Alternatively or additionally, the hydrogen source and the nitrogen radicals generator may be arranged in a vicinity of the projection system targeting at cleaning optical elements, for example mirrors, or the projection system. Other optical elements conceived to be cleaned include reticle, LCD arrays or mirror arrays or a spatial light modulator, or sensors. In the latter embodiment the thus formed hydrogen radicals are mainly used to remove carbon and carbon associated contamination, like formation of hydrocarbon films on the optical elements of the projection system.
Figure 2 presents in a schematic way an edition or a portion 10 or a lithographic apparatus as is set forth in the foregoing. The portion 10 may be related to the illumination system and / or to the projection system of the lithographic apparatus. Alternatively, the portion 10 may relate to an optical system or another suitable apparatus, for example EUV microscope. This particular embodiment relates to an embodiment, the source of hydrogen 3 is provided, said source is cooperating with the source of nitrogen radicals 2. Preferably, a source of hydrogen molecules is integrated with the source of nitrogen radicals in a module 6. Such source may be referred to as a hybrid source. The source of nitrogen radicals may include a discharge source, preferably a radio frequency discharge source. More preferably, a gas outlet 3a or the source of hydrogen molecules 3 is arranged in a direct vicinity of a gas outlet 2a or the source of nitrogen radicals 2. Preferably, in the hybrid source, the inlet or hydrogen molecules and the inlet of the nitrogen molecules are adjacently arranged or merged so that both H2 molecules and N2 molecules may be dissociated by action of a radio frequency source. An optical element conceived to be cleaned is schematically illustrated by 4. For example, the optical element may relate to a multilayer mirror having a multiple of surfaces 4.
Possible chemical reactions for producing hydrogen atoms with nitrogen atoms radicals include:
, followed by
It is noted that other molecules than H2 may be radicalized yielding hydrogen radicals.
Further chemical reactions for producing hydrogen radicals include:
It is found that by providing the source of the hydrogen molecules in the immediate vicinity of a nitrogen radicals generator a controlled generation of hydrogen radicals is achieved. Preferably, a flux or hydrogen radicals is selected on the optical surface between at least 1 x 10 14 / cm 2 and 1 x 10 16 / cm 2, more preferably at about 1 x 10 15 / cm 2. The nitrogen flux on the optical surface is preferably set between at least 1x10 14 / cm2 and 1 x 10 16 / cm2, more preferably at about 1 x 10 15 / cm2.
In addition, it is found to be advantageous to employ a substantially elevated hydrogen and / or nitrogen gas flow along a trajectory between respective gas sources or a hybrid source and an optical element conceived to be cleaned. Good results have been obtained for respective gas flows being selected in a range of 60% - 99% of the sound velocity in the atmosphere of the apparatus, preferably in a range of 80% - 99% of the sound velocity, more preferably more than 90% of the sound velocity. For example, for a life time of hydrogen radicals or about 10 ms, the free path or about 10 cm is available with said flow velocities.
Preferably, at least the source of nitrogen radicals is arranged in the immediate vicinity of the surface conceived to be cleaned. This has an advantage that the hydrogen radicals are formed at specific positions in the lithographic apparatus. Due to the fact that a mean free path of hydrogen radicals is very short, generation of hydrogen radicals in an area or a target surface or an optical element increases cleaning efficiency. Possible example of the optical element, for example a Mo / Si multi-layer mirror comprising a Ru surface, notably a Ru caplayer. An embodiment of the Ru cap layer is known from US 2006/0072084.
It is found to be particularly advantageous to add a relatively small amount of hydrogen containing methane to the atmosphere of hydrogen radicals are formed. This may be enabled by an addition or a suitable source of methane 7. It has been found that an addition or about 5% or methane substantially increases the cleaning rate. From the Ru surface, the cleaning rate increases with several orders of magnitude, allowing, for example, 0.6 nm Sn foil to be removed within a time period or less than one hour.
It has been further found to be advantageous to coat the surface with a Si3N4 coating. Such a coating may have a function of a cleaning cap layer improving a cleaning rate on one hand, and protecting Ru surface from aggressive reactant (hydrogen radicals) on the other hand. It has been found that when a 5 nm or Si3N4 was deposited on a Ru surface, the cleaning rate was similar to a cleaning rate from a silicon surface (> 700 nm / hour), which provides a substantial improvement. In particular, it has been found that an addition or such cleaning cap layer leads to a substantially complete cleaning or a collector mirror forming part of the illumination system or the lithographic apparatus. In particular, good results have been obtained for a grazing incidence collector used in the illumination system. The grazing incidence collector comprises a grazing incidence mirror on which radiation is directed at an angle narrower than about 20 degrees. Such grazing incidence mirrors may be manufactured from a multilayer, or from a single metal layer. Hyd radicals provide effective means to remove Sn and / or C contamination, preferably Sn particles and / or hydrocarbons. It is also noted that nitrogen atoms may also contribute to the elevated efficiency of removal or named depositions on an optical element or apparatus, for example or a lithographic apparatus.
Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms "wafer" or " those "may be considered as synonymous with the more general terms" substrate "or" target portion ", respectively. The substrate referred to may be processed, before or after exposure, in for example a track (a tool that typically applies to a layer of resist to a substrate and develops the exposed resist), a metrology tool and / or an inspection tool. Where applicable, the disclosure may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so the term substrate used may also refer to a substrate that already contains multiple processed layers.
Although specific reference may have been made above to the use of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography topography in a patterning device, the pattern created on a substrate. The topography of the patterning device may be pressed into a layer or resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
The terms "radiation" and "beam" used include and compass all types of electromagnetic radiation, including ultraviolet (UV) radiation (eg having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (eg having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams.
The term "lens", where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
While specific expired or the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (eg semiconductor memory, magnetic or optical disk) having such a computer program stored therein.
The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that changes may be made according to the clauses set out below.
权利要求:
Claims (33)
[1]
CLAUSES
[2]
1. A method of removal of a deposition on an optical element or apparatus including said optical element, the method including the steps of: providing a hydrogen-containing gas in at least a part of the apparatus; providing nitrogen radicals in said part of the apparatus for generating hydrogen radicals from the hydrogen-containing gas; and, - bringing the optical element with deposition into cortact with at least part of the hydrogen radicals for removal or said deposition.
[3]
2. A method according to clause 1, where the deposition comprises one or more elements selected from a group of B, C, Si, Ge and Sn.
[4]
3. A method according to clause 1 or 2, containing the nitrogen radicals are generated from a N2 including gas by a filament, a plasma or a radiation.
[5]
4. A method according to any clause, including the hydrogen-containing gas is provided from a source of hydrogen molecules.
[6]
5. A method according to clause 4, the source of hydrogen molecules is integrated with a source of nitrogen radicals yielding a hybrid source for providing nitrogen radicals and hydrogen radicals in at least said part of the apparatus.
[7]
6. A method according to clause 5, where the hybrid source is arranged in the vicinity or an optical element conceived to be cleaned.
[8]
7. A method according to any of the preceding clauses, including the apparatus comprises a lithographic apparatus.
[9]
8. A method according to clause 7, where the optical element comprises an optical element selected from a group of a mirror, a grating, a reticle and a sensor.
[10]
9. A method according to clause 8, the optical element forms part or a grazing incidence collector module.
[11]
10. A method according to any clause further including the step of generating a flow or at least a nitrogen radical containing gas in the atmosphere of the apparatus in a range of 60 - 90% of the sound velocity associated with said atmosphere.
[12]
11. A method according to clause 1 with methane is provided in at least a part of the apparatus.
[13]
12. An apparatus including: an optical element; - a first inlet configured to provide hydrogen-containing gas in at least part of the apparatus; a second inlet configured to provide nitrogen radicals in said part of the apparatus for generating hydrogen radicals from the hydrogen-containing gas.
[14]
13. An apparatus of clause 12, said the second inlet forms part of a source of nitrogen radicals, said source being selected from a group of a filament, a plasma or a radiation.
[15]
14. An apparatus according to clause 12 or 13, the first inlet forms part of a source of hydrogen molecules, said source being integrated with the source of nitrogen radicals.
[16]
15. An apparatus according to clause 14, where the apparatus comprises a lithographic apparatus.
[17]
16. An apparatus according to any clause 12 - 15, the optical element is selected from a group of a mirror, a grating, a reticle and a sensor.
[18]
17. An apparatus according to any clause 12-15, at least the source of nitrogen radicals is arranged to generate a flow of nitrogen gas in the atmosphere of the apparatus in a range of 60% - 99% of the sound velocity associated with said atmosphere.
[19]
18. A lithographic apparatus including: - a radiation system constructed to provide a projection beam of radiation; - an illumination system including a first optical element constructed to condition a radiation beam; - a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; - a substrate table constructed to hold a substrate; and - a projection system including a second optical element configured to project the patterned radiation beam onto a target portion of the substrate, including - the lithographic apparatus further comprises a hydrogen-containing gas; - a source of nitrogen radicals arranged for generating hydrogen radicals from the hydrogen containing gas for removing a deposition from at least a surface of the first optical element and / or the second optical element.
[20]
19. A lithographic apparatus or clause 18, where the source of nitrogen radicals is selected from a group of a filament, a plasma or a radiation.
[21]
20. A lithographic apparatus according to clause 18 or 19, further including a source of a hydrogen-containing gas, said source being integrated with the source of nitrogen radicals.
[22]
21. A lithographic apparatus according to clause 10, wherein a gas outlet or the source of hydrogen containing gas is arranged in a direct vicinity or a gas outlet or the source of nitrogen radicals.
[23]
22. A lithographic apparatus according to any preceding clause 18 - 21, at least the source of nitrogen radicals is arranged in a direct vicinity of the surface conceived to be cleaned.
[24]
23. A lithographic apparatus according to any clause 18 - 22, the first optical element and / or the second optical element are selected from a group of a mirror, a grating, a reticle and a sensor.
[25]
24. A lithographic apparatus according to any clause 18-23, comprising the optical element comprising a Ru surface.
[26]
25. A lithographic apparatus according to any clause 18-24, further including a source of methane.
[27]
26. A lithographic apparatus according to any clause 18-25, the surface is provided with an S13N4 coating.
[28]
27. A lithographic apparatus according to any preceding clause 18-26, wherein the deposition comprises one or more elements selected from a group of B, C, Si, Ge and Sn.
[29]
28. A lithographic apparatus according to any preceding clause 18-27, comprising the illumination system comprises a grazing incidence collector.
[30]
29. A lithographic apparatus according to any clause 18-28, at least the source of nitrogen radicals is arranged to generate a flow of nitrogen in the atmosphere of the apparatus in a range of 0.6 - 0.9 or the sound velocity associated with said atmosphere.
[31]
30. A device manufacturing method including: projecting a patterned beam or radiation onto a substrate using a lithographic apparatus; providing nitrogen radicals in an atmosphere of the lithographic apparatus for interacting with a hydrogen-containing gas present in said atmosphere for generating hydrogen radicals acting as cleaning reagents for removing a deposition from a surface of an optical element.
[32]
31. A device manufacturing method according to clause 29, including the step or: adding methane to said atmosphere.
[33]
A method of removing a deposition of an optical element from a device, the method comprising: providing a hydrogen-containing gas in at least a portion of the device; providing nitrogen radicals in said part of the device for generating hydrogen radicals from the hydrogen-containing gas; and contacting the optical element with at least a portion of the hydrogen radicals to remove said deposition.
类似技术:
公开号 | 公开日 | 专利标题
US7750326B2|2010-07-06|Lithographic apparatus and cleaning method therefor
US7462850B2|2008-12-09|Radical cleaning arrangement for a lithographic apparatus
EP1429189B1|2008-10-08|Lithographic apparatus and device manufacturing method
US6882406B2|2005-04-19|Euv lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer, device manufacturing method and device manufactured thereby
JP4445438B2|2010-04-07|Lithographic apparatus and device manufacturing method
US7875863B2|2011-01-25|Illumination system, lithographic apparatus, mirror, method of removing contamination from a mirror and device manufacturing method
NL1035732A1|2009-02-02|Lithographic apparatus and device manufacturing method.
US20080291413A1|2008-11-27|Lithographic apparatus having encoder type position sensor system
US20040105084A1|2004-06-03|Lithographic apparatus and device manufacturing method
US8685632B2|2014-04-01|Radiation source, lithographic apparatus and device manufacturing method
US9110387B2|2015-08-18|Lithographic apparatus comprising a substrate table and a surface substrate actuator
US20080259299A1|2008-10-23|Lithographic apparatus and device manufacturing method
US8836913B2|2014-09-16|Lithographic apparatus having an encoder type position sensor system
NL1036543A1|2009-08-24|Lithographic apparatus including a magnet, method for the protection of a magnet in a lithographic apparatus and device manufacturing method.
JP2005347757A|2005-12-15|Grazing incidence mirror, lithography equipment comprising the grazing incidence mirror, method for providing the grazing incidence mirror, method for reinforcing euv reflection of the grazing incidence mirror, device manufacturing method, and device manufactured thereby
US20090074962A1|2009-03-19|Method for the protection of an optical element of a lithographic apparatus and device manufacturing method
JP4892523B2|2012-03-07|Method for removing deposition from an optical element, lithographic apparatus and method for manufacturing a device
US20100151394A1|2010-06-17|System for Contactless Cleaning, Lithographic Apparatus and Device Manufacturing Method
US10310394B2|2019-06-04|Lithographic apparatus, a projection system and a device manufacturing method
EP1385051A1|2004-01-28|EUV lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer and device manufacturing method
NL1036787A1|2009-11-17|Lithographic method.
TW202004363A|2020-01-16|Lithographic apparatus
同族专利:
公开号 | 公开日
US20090025750A1|2009-01-29|
JP2009033164A|2009-02-12|
JP4892523B2|2012-03-07|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题

US5229872A|1992-01-21|1993-07-20|Hughes Aircraft Company|Exposure device including an electrically aligned electronic mask for micropatterning|
AU7682594A|1993-09-08|1995-03-27|Uvtech Systems, Inc.|Surface processing|
DE10138284A1|2001-08-10|2003-02-27|Zeiss Carl|Lighting system with nested collectors for use in EUV microlithography|
US20040105084A1|2002-09-30|2004-06-03|Asml Netherlands B.V.|Lithographic apparatus and device manufacturing method|
DE60323927D1|2002-12-13|2008-11-20|Asml Netherlands Bv|Lithographic apparatus and method of making a device|
US7420653B2|2003-10-02|2008-09-02|Asml Netherlands B.V.|Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly|
US7355672B2|2004-10-04|2008-04-08|Asml Netherlands B.V.|Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus|
JP5033126B2|2005-06-21|2012-09-26|コーニンクレッカフィリップスエレクトロニクスエヌヴィ|Methods for cleaning and post-processing the optical surface in the irradiation unit|
US8317929B2|2005-09-16|2012-11-27|Asml Netherlands B.V.|Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus|
US7372058B2|2005-09-27|2008-05-13|Asml Netherlands B.V.|Ex-situ removal of deposition on an optical element|
US7462850B2|2005-12-08|2008-12-09|Asml Netherlands B.V.|Radical cleaning arrangement for a lithographic apparatus|US7833897B2|2007-07-17|2010-11-16|International Business Machines Corporation|Process for making interconnect solder Pb-free bumps free from organo-tin/tin deposits on the wafer surface|
法律状态:
2009-04-01| AD1A| A request for search or an international type search has been filed|
优先权:
申请号 | 申请日 | 专利标题
US87843607|2007-07-24|
US11/878,436|US20090025750A1|2007-07-24|2007-07-24|Method for removal of a deposition from an optical element, lithographic apparatus, and method for manufacturing a device|
[返回顶部]